Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com январь - февраль 2003 Долинский М.С. Введение Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по февраль 2003 года выглядит следующим образом: + 1. Борьба за интероперабельность 2. Требуются и начинают возникать средства отладки мультипроцессорных систем + 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. + 4. Генераторы моделей процессоров + 5. От C++ к HDL и обратно 6. IP-компоненты процессоров + 6.1. ARM шагает по планете + 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных + 6.3. И другие процессорные ядра 6.4. Сетевые процессоры 7. В мире ПЛИС + 7.1. ПЛИС расширяют сферу применения + 7.2. Развитие средств проектирования ПЛИС 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов + 7.3.2. Телекоммуникации н! 7.3.3. Средства управления движением н! 7.3.4. Реконфигурация "на-лету" 8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка + 8.2. Телекоммуникации 8.3. Шифрование + 8.4. Как распространяются IP-компоненты 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. + 9.2. Другие языки и средства верификации симуляцией + 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC + 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC 10.3. Персональные средства для прототипирования ASIC 11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems + 11.2. И все остальные + 11.3. Сетевые микроконтроллеры н! 11.4. Мультимедиа-микроконтроллеры + 11.5. Другие новости мира микроконтроллеров 12. Обучение - ключ к продаже + 12.1. Очные семинары + 12.2. On-line - обучение + 12.3. Университетские программы + 12.4. Обучение через партнерские программы с центрами проектирования н! 12.5. Документированные проекты н! 12.6. Комплексная (многовидовая) служба поддержки 13. Другие ключи к продаже + 13.1. Передача маркетинга на сторону + 13.2. Расширение географии 14 Интернет-технологии на службе EDA-индустрии +15. Специализированые СБИС Символами "н!" отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде (январь-февраль 2003 года). Символами "+" отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода. Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/dolinsky/dv0302.txt). Вначале рассмотрим динамику развития ранее подмеченных тенденций. 1. Новости в рамках классификации приведенной на декабрь 2002 года 1.1."1. Борьба за интероперабельность" 1.1.1. Только факты 13 января Открытое письмо к лидерам EDA-компаний от лидера Cadence, члена коалиции OpenAccess Дорогие коллеги В моем открытом письме в мае 2002 я призвал все EDA-компании поддержать создание открытой, интероперабельной структуры баз данных. От Cadence могу сообщить о значительном прогрессе в создании такой стандартной базы данных и API к ней, обеспечивающих реальную интероперабельность проектов. При поддержке других членов коалиции OpenAccess мы также предлагаем структурные и процедурные изменения в коалиции для ускорения поддержки OpenAccess другими EDA-компаниями. OpenAccess удовлетворила или даже превзошла ожидания пользователей во время бета-тестирования в конце 2002 года. По графику Cadence представила это код коалиции OpenAccess, которая 8-го января 2002 года выпустила OpenAccess версии 2.0., который сейчас доступен для бесплатного скачивания с www.OpenEDA.org. OpenAccess версии 2.0. обеспечивает инфраструктуру для проектирования в том числе и нано-проектов, поддерживаются и цифровые и аналоговые и смешанные проекты, поддерживаются 32- и 64-битные платформы, а также thread-safe C++ архитектура, обеспечены расширяемость и параллельная обработка данных. Портирование реальных проектов в OpenAccess показало сокращение размеров файлов и времени доступа к информации. На период до конца 2004 года создается OpenAccess Change Management Committee куда приглашаем Synopsis. Все знают, что никакой одной компании не справится с грядущими проблемами. Сотрудничая на базе OpenAccess, мы сможем решить их вместе. Приглашаю Ваши компании присоединиться к Cadence и OpenAccess, чтобы обеспечить интероперабельность баз данных. Sincerely, Lavi Lev Cadence Design Systems, Inc. Член коалиции OpenAccess www.OpenEDA.org 15 января Prover Technology анонсирует интероперабельность для проверки эквивалентонсти и отладки ASIC, SOC и IC Prover eCheck теперь взаимодействует с Novas Software Debussy Knowledge-Based Debug System. Эта интеграция обеспечивает конечных пользователей эффективным средством проверки эквивалентности "RTL-вентили" для верификации трансформации проекта. В случае, когда Prover eCheck обнаруживает ошибки, они анализируются с помощью среды отладки Debussy, исключая необходимость изучать новое отладочное средство. Соответственно Prover присоединилась к Novas Software Harmony Program для формализации своих отношений с Novas. Novas начала свою программу Harmony в 1999 году с целью сократить стоимость итнтероперабельности EDA-продуктов. Программа Harmony обеспечивает разработчиков средств верификации лицензией на Debussy, инженерной поддержкой усилий по интеграции и последующей поддержкой общих пользователей. Открытый API (application programming interface) Debussy обеспечивает возможность использования средств отладки от Debussy. Prover Technology основана в 1989 году. www.prover.com www.novas.com 20 января Новый стандарт VMEBus (VXS или VITA 41) VITA (VMEbus International Trade Association) утверждает, что этот стандарт последовательной передачи данных обеспечивает в 50 раз более высокую производительность чем параллельная шина VME64. Ключевые достоинства VXS : - добавление последовательной передачи к VMEbus - поддержка технологии открытых стандартов, включая InfiniBand 4X и Serial RapidIO 4X - обратная совместимость с VMEbus (поддерживается формфактор Eurocard) VMEbus - это шинная компьютерная архитектура, популярная в промышленной автоматике, медицинских приборах, телекоммуникации и военных приборах, которая является открытым промышленным стандартом. VMEbus определяет физические размеры платы и ее схемы крепления, электрические интерфейсы и соединители. Это означает, что VMEbus платы, соответствующие стандарту, могут вставляться в систему и будут работать, даже если они пришли от разных производителей. VITA (основана в 1984 году) - это некоммерческая организация производителей и пользователей, имеющих общие рыночные интересы. VITA продвигает открытые архитектуры в противовес частным. 27 января Вторая ежегодная конференция OpenAccess пригласила лекторов от IBM, LSI Logic, Hewlett-Packard, Cadence, Motorola и Si2 11 февраля 2003 года состоится конференция, 12-14 - обучающие курсы. Организует конференцию Silicon Integration Initiative (Si2). Уже более 150 компаний загрузили OpenAcess 2.0, анонсированный только 9 января 2003 года. Оглашена программа мероприятия - Mark Papermaster, директор Global Microprocessor Design в IBM Systems Group расскажет о значении OpenAccess для разработки процессоров в IBM - Lavi Lev, президент Cadence расскажет о планах Cadence по поддержке OpenAccess - Открытая дискуссия о перспективах OpenAccess - Ответы специалистов на вопросы по OpenAccess - С докладами выступят также Scott Peterson (LSI Logic), Jim Wilmore (Hewlett-Packard), Ted Paone (Cadence), Joanne Schell (Motorola), Joe Santos (Cadence), Steve Geiger и Simon Garrison (MicroEDA). - Состоится специальная секция по OpenAccess для академических кругов. Трехдневные учебные курсы будут посвящены: физическим аспектам, расширяемости API, вариантам реализации базы данных и другим вопросам. В коалицию OpenAccess сейчас входят 17 компаний: Cadence Design Systems, Celestry, Hewlett-Packard, IBM Microelectronics, Intel, LSI Logic, Mitsubishi Electric Corporation, Motorola, Nassda, Numerical Technologies, Philips Semiconductors, Sagantec, STMicroelectronics, Sun Microsystems, Synplicity, Tektronix, Verisity. Работа ведется под руководством SI2. www.si2.org/openaccess www.OpenEDA.org 3 февраля Synopsys открывает свою проверенную временем базу данных проекта Milkyway в целях обеспечения интероперабельности Теперь пользователи и разработчики EDA инструментов могут связывать их непосредственно со средой Milkyway с помощью скриптового API или с помощью API, основанного на C. Уже более 40 университетов, и фирм проектирующих, тестирующих и производящих чипы или разрабатывающих EDA-инструменты анонсировали свою поддержку открытия Milkyway. Milkyway Access Program (MAP-in) - это программа, объявленная Synopsis по привлечению внимания к базе данных Milkyway. Члены MAP-in получают бесплатный доступ к документации и программному обеспечению Milkyway, и к нескольким форумам: EDA Interoperability Developers' Forums, Milkyway discussion forum, Secured User Research Facility. 14 EDA-компаний уже стали членами MAP-in. www.synopsys.com/products/milkyway/milkyway_qs.html www.synopsys.com/partners/mapin 3 февраля Synopsys выпускает Galaxy Design Platform, базирующуюся на открытой базе данных Milkyway В состав Galaxy Design Platform включены также Design Compiler, DFT Compiler, Power Compiler, DesignWare, Floorplan Compiler, Physical Compiler, Astro, PrimeTime, TetraMAX, Star-RCXT, Hercules, Proteus. Кроме того, обеспечивается интеграция сторонних разработок посредством Milkyway. После перехода к технологиям 90 нм и ниже одно программное средство не в состоянии решить все проблемы проекта, поэтому нужна единая отрытая база данных проекта. Кроме того, интеграция на базе ASCII файлов достигла своих пределов масштабируемости. Сейчас Galaxy Design Platform находится на стадии тестирования. По планам Synopsis, она станет доступна пользователям в июне 2003 года. 3 февраля Mentor Graphics интегрируется с базой данных проекта Milkyway, которую разработала и продвигает Synopsis Это важный шаг (с точки зрения руководителей Mentor Graphics) в борьбе за интероперабельность. С Milkyway будут интегрированы Calibre и IC Station. Calibre в процессе перехода от проекта к чипу обеспечивает проверку нарушений правил проектирования, контролирует соответствие схемы и layout, физическую верификацию. IC Station поддерживает разработку аналоговых и аналогово-цифровых устройств. Эти инструменты получат простой интерфейс к базе данных Milkyway. www.mentor.com 3 февраля Silicon Canvas присоединяется к Synopsys Milkyway Access Program (MAP-in) Благодаря участию Silicon Canvas в MAP-in, ее продукт Laker (full-custom layout tool) получит тесный интерфейс с комплексом физической верификации Synopsys Hercules посредством API Milkyway. С помощью Laker выпущено уже более 400 чипов. Silicon Canvas основана в 2000 году. www.sicanvas.com 7 февраля Circuit Semantics присоединяется к Synopsys in-Sync Interoperability Program Circuit Semantics намерена использовать Synopsys Liberty (стандарт на открытые библиотеки). Это обеспечит интероперабельность Circuit Semantics DynaCell и Synopsys Design Compiler и Library Compiler. www.circuitsemantics.com 10 февраля Коалиция OpenAccess и Synopsis работают над созданием единого стандартного интерфейса доступа к данным о проекте Golden Gate Working Group сформирована коалицией OpenAccess и Synopsis в конце 2002 года. Ее цель - построить мост между OpenAccess и Milkyway. GGWG включает представителей Cadence, Synopsis, Hewlett-Packard, IBM, Intel, LSI Logic, Motorola, Philips Semiconductors и STMicroelectronics. www.si2.org/openaccess www.OpenEDA.org www.synopsys.com 11 февраля Circuit Semantics присоединяется к Synopsys Milkyway Access Program (MAP-in) MAP-in дает доступ к API для интеграции с базой данных проекта Synopsis Milkyway. www.circuitsemantics.com 1.1.2. Обобщения и выводы Как следствие определенного успеха проекта OpenAccess, цель которого - создание открытых средств хранения данных о проекте, Synopsis открыла свою "проверенную временем базу данных проекта" Milkyway. Что уже вызвало у некоторых фирм (в том числе упомянутых в новостях Mentor Graphics, Silicon Canvas, Circuit Semantics) интерес и желание использовать Milkyway и предоставленные возможности. В попытке преодолеть намечающийся "раскол" по обеспечению интероперабельности за счет единой открытой базы данных проекта вначале Cadence инициировала создание OpenAccess Change Management Committee, куда Synopsis получила персональное приглашшение. А затем было объявлено о создании совместными усилиями коалиции OpenAccess и Synopsis специальной Golden Gate Working Group, основная цель которой - построить мост между OpenAccess и Milkyway. Другой полюс интероперабельности - Novas Software Debussy KBDS (Knowledge-Based Debug System), проявляющийся в области симуляции и отладки цифровых проектов, притянул к себе внимание фирмы Prover Technology, разрабатывающей средства формальной верификации. И, наконец, как средство физической интероперабельности плат выдвинут новый стандарт VMEBus (VXS или VITA 41). 1.2. "3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика." 1.2.1. Только факты 7 января LSI Logic выпускает платформу для цифрового аудио ZSP Digital Audio Platform (ZDAP) Она поддерживает все цифровые аудио-стандарты для Portable Audio, DTV и DVD (включая AC-3, MP3, AAC, MPEG-1, -2), базируется на лицензируемом процессорном ядре ZSP400. Партнерами по разработке программного обеспечения для ZDAP являются ESPICO (Embedded Signal Processing for Integrated Cores), Ltd. и Spatializer Audio Laboratories, Inc. Предполагается демонстрация платформы вместе с Spatializer Audio Enhancement Suite на 2003 International CES. Для продвижения ZDAP реализован он-лайн семинар: http://seminar2.techonline.com/~lsilogic22/nov1402/dns.shtml http://www.zsp.com/zsp400.html http://www.espico.com http://www.spatializer.com/technology/overview/index.asp http://www.lsilogic.com 8 января ECSI Letter 2002 Проект ODETTE входит в заключительную фазу Eike Grimpe, Tiemo Fandrey, Bernd Timmermann Распространение встроенных систем, включающих аппаратные и программные компоненты стремительно росло и продолжает расти. Такие системы используются и в производственой, и в потребительской сфере. Однако разрыв между возможностями таких систем (основанных на мультимиллионных чипах) и их средствами разработки все возрастает. В течение последних трех лет проект ODETTE финансировался European Commission как часть IST framework programme 5, цель - поднять методы описания систем, особенно их аппаратного обеспечения на новый, более высокий уровень. Как известно, использование объектно-ориентированных технологий повысило производительность в разработке программного обеспечения. Проект ODDETE, стартовавший в июне 2000 года был нацелен на использование таких свойств объектов как классы, наследование и полиморфизм для описания аппаратного обеспечения. При этом пришлось решать целый ряд проблем: разрабатывать объектно-ориентированный язык описания аппаратного обеспечения, обеспечивать его конвертируемость к имеющимся языкам, обеспеченным средствами синтеза. Кроме того, нужны были средства симуляции и верификации для нового языка. Были предприняты усилия по привлечению промышленных партнеров, распространению полученных результатов. Консорциум исполнителей проекта ODETTE включал: Synopsis (идеальный партнер для разработки средств ввода, симуляции и синтеза), исследовательский институт OFFIS уже имел опыт разработки объектно-ориентированного расширения языка описания аппаратного обеспечения VHDL и языка SystemC-Plus как подмножества SystemC, обеспечивающее синтез. IBM Haifa Research Lab привнесла опыт в разработке средств верификации. Как представители европейской промышленности в группу входили Robert Bosch GmbH и Siemens Mobile Communications. Они привнесли опыт проектирования и обеспечили качественную экспертизу и тестирование разработок. Распространением результатов и стандартизацией выполненных расширений SystemC занимался ECSI. Первые два года проекта: От Objective VHDL перешли к SystemC-Plus как средству объектно- ориентированного описания проектов. SystemC-Plus накладывает определенные ограничения на использование C++, например запрещает динамическое распределение памяти и de-allocation. Тем не менее, используются наследование, шаблоны классов, полиморфизм и даже высокоуровневая межпроцессная коммуникация, основанная на специальном типе разделенных объектов сходных с каналами, но с ясной семантикой синтеза. Было разработано средство синтеза, которое конвертировало исходные описания в код для CoCentricо SystemC Compiler. Партнеры по ODETTE участвовали в FDL 2002 (Forum on Design Languages), продемонстрировали прототипы заинтересованным посетителям FDL (в сентябре 2002 года). На конференции IST (Information Technology Society) 2002 (которая состоялась в ноябре 2002 года в Копенгагене) партнеры по ODETTE продемонстрировали средсва синтеза и ко-симуляции. Было сделано несколько публикаций в международных конференциях таких как DATE и DAC. OFFIS как партнер, ответственный за управление проектом ODETTE, представил web-сай проекта http://odette.offis.de. Теперь разработам предстоить пройти промышленную оценку. Проект ODETTE заканчивается в мае 2003 года. odette.offis.de 15 января Cypress MicroSystems добавляет модемные возможности к своей PSoC Модемные возможности реализованы в виде бесплатного программного обеспечения. PSoC Designer позволяет использовать обширную библиотеку "пользовательских модулей", конфигурируя их с помощью графического интерфейса. Модемы позволяют объединять промышленные устройства в сети посредством обычных телефонных линий. PSoC фирмы Cypress MicroSystems включает программируемую цифровую и аналоговую логику, быстрый 8-битный CPU, от 8 до 16 Кбт флеш-памяти, и 256 байт SRAM. PSoC CY8C26xxx поставляется в партиях по 1000 штук по цене от $2.80 до $4.55. Среда разработки PSoC Designer Software Tool Set поставляется бесплатно. Basic Development Kit, включающий PSoC ICE-4000, стоит $248. www.cypressmicro.com www.cypress.com 20 января Новая SoC-платформа SoCMosaic от TAEC сокращает срок проектирования с 18 месяцев до 4 месяцев TAEC (Toshiba America Electronic Components, Inc.) обеспечивает для SoCMosaic множество IP-компонент (включая процессоры семейства ARM), стандартизованные шинные интерфейсы (ARM AMBA), масштабируемую систему шин (Sonics system-level bus, OCP), RTL-тестбенч и поцикловую С-симуляцию. Поддержка проектирования на системном уровне включает разработку аппаратного и программного обеспечения (firmware и middleware) с помощью поцикловых моделей системы для раннего начала разработки прикладного ПО. SocMosaic поддерживает такие ОС как Linux и другие RTOS (VxWorks и Nucleus), обеспечивая ввод-вывод, прерывания, счетчики, последовательные порты. Пользователь может выбирать такие IP-компоненты как встроенная DRAM, контроллеры Ethernet, USB, 1394, PCI; SerDes; VoIP, MPEG и 802.11. Планы TAEC на будущее таковы: - сделать FPGA-плату для тестирования скомпонованной реализации платформы - сделать другую платформу, добавив DSP функции - добавление middleware (MPEG4, JPEG, MP3, etc.) - расширение библиотеки IP компонент моделями для сетевой обработки, мультимедиа, принтеров, хранения. - пополнение высокоуровневых С-моделей - обеспечение синтеза платформы (поставляемой как soft RTL) под любую технологию включая 0.18 мк, 0.13 мк, 90 нм, 65 нм SoCMosaic версии 1 (однопроцессорная, под Linux или RTOS) будет поставляться с апреля 2003 года. SoCMosaic версии 2 (мультипроцессорная) будет поставляться со второй половины 2002 года. chips.toshiba.com www.toshiba.com/taec 22 января Xilinx аннонсирует Virtex-II Multimedia Development Board Эта плата включает Xilinx Virtex-II XC2V2000 FPGA и поддерживает 5 независимых банков памяти 512K x 36-bit 130 MHz ZBT RAM с возможностью побайтовой записи. На плате может использоваться Xilinx MicroBlaze 32-bit soft-процессор, работающий на частоте 150 МГц и обеспечивающий производительность 102 D-MIPS. На плате имеются блоки для кодирования декодирования потокового видео и аудио. Цена платы - $1400 www.xilinx.com/xlnx/xebiz/productview.jsp?category=-11243 27 января Xilinx анонсирует снижение цен на Virtex-II Pro FPGA XC2VP4 - 6700 логических элементов, 500Кбит памяти, процессор Power PC и 4 последовательных трансивера RocketIO - меньше чем за $30 (в конце 2004 года при партиях по 100,000 штук). (почти в 2 раза дешевле чем в 2002 году). XC2VP20 - 20,000 логических элементов, 1.5 Mбит встроенной RAM, два процессора Power PC и 8 трансиверов RocketIO - меньше чем за $100. (в конце 2004 года при партиях по 50,000 штук). Xilinx предлагает также Xilinx Virtex-II Pro EasyPath - перенос решений с FPGA на ASIC для сокращения стоимости на 80%. Дешевые Spartan-IIE : до 600К системных вентилей, и 514 контактов ввода/вывода. Xilinx ISE средства разработки используют 150,000 пользователей по всему миру. www.xilinx.com 28 января Xilinx и Tarari анонсируют программируемую платформу ускорения обработки контента Теперь Tarari Content Processor Development Kit (CP-DK) распространяется как часть Xilinx eSP (Emerging Standards & Protocols). Tarari CP-DK может быть адаптирован к обработке образов, речи и др. информации. Tarari CP-DK включает Tarari Content Processing Controller, Xilinx Virtex Platform FPGA и другие IP компоненты. Разработчики могут фокусироваться непосредственно на алгоритме обработки контента. Это позволяет разработчикам создавать агентов и аппаратные представления сложных программных алгоритмов, которые могут решать специфические задачи в 10 раз быстрее, чем другими способами. Огромные инвестиции были вложены в ускорение обработки пакетов при передаче их в сетях и обработке на серверах. Однако эта обработка пакетов была ограничена анализом заголовков, выполнением поиска в таблицах, и отсылки пакетов по назначению. Контент-процессоры - это аппаратные подсистемы, которые встраиваются в сервера, сетевые и прикладные устройства, чтобы обеспечить управление и инспекцию всего передаваемого содержимого на более высоких скоростях, чем это достигалось ранее. www.xilinx.com/esp/cpdk www.Tarari.com 1.2.2. Обобщения и выводы SoC-платформы (процессор+память+программируемая логика+ периферийные устройства) - отличное базовое средство быстрой и относительно дешевой разработки специализированных прикладных решений. За отчетный период анносированы платформы: - для обработки аудиоинформации (ZSP Digital Audio Platform фирмы LSI Logic на базе процессора ZSP400) - телекоммуникационная (PSoC CY8C26xxx фирмы Cypress MicroSystems на базе собственного 8-битного CPU) - мультимедийные (SoCMosaic от TAEC на базе процессоров ARM; Virtex-II Multimedia Development Board от Xilinx на базе 32-битного софт-процессора MicroBlaze; Virtex-II Pro от Xilinx на базе Power PC) - для ускорения обработки WEB-контента (Content Processor Development Kit фирмы Tarari). Отдельный интерес представляет отчет о ходе выполнения проекта ODETTE, ведущегося в рамках FP5. Заявленная цель проекта - поднять методы описания систем, особенно их аппаратного обеспечения на новый, более высокий уровень за счет "использования таких свойств объектов как классы, наследование и полиморфизм для описания аппаратного обеспечения", поскольку "разрыв между возможностями систем, основанных на мультимиллионных чипах, и их средствами разработки все возрастает". Выбранный подход - использование объектно-ориентированного языка для описания аппаратного обеспечения - вынудил "решать целый ряд проблем: разрабатывать объектно-ориентированный язык описания аппаратного обеспечения, обеспечивать его конвертируемость к имеющимся языкам, обеспеченным средствами синтеза. Кроме того, нужны были средства симуляции и верификации для нового языка." Проект ODETTE заканчивается в мае 2003 года. Теперь разработкам предстоить пройти промышленную оценку. 1.3. "4. Генераторы моделей процессоров" 1.3.1. Только факты 27 января CoWare приобрела LISATek Эта комбинация создает единственное в мире (по мнению CoWare) решение, которое включает моделирование процессоров и разработку программного обеспечения для них. Технологии LISATek обеспечивают автоматическую генерацию всех необходимых моделей и инструментов для проектирования аппаратного обеспечения и разработки встроенного программного обеспечения, включая автоматическую генерацию высокопроизводительных симуляционных моделей, целевых ассемблеров, линкеров и синтезируемых RTL-описаний. LISATek имеет полные средства отладки и профилирования, включая отладку мультипроцессорных систем. Технологии LISATek разрабатывались в Aachen Technical University (Германия) института ISS. ISS присоединился к IMEC (Leuven, Belgium). как партнер CoWare - центр исследований и разработок. www.coware.com www.lisatek.com/products.html 27 января Серия процессоров MIPS Pro от MIPS Technologies MIPS Pro обладают возможностью CorExtend - для расширения возможностей стандартных архитектур MIPS32 - например , добавления DSP-возможностей. Используя CorExtend, разработчики могут определить собственные инструкции, которые обрабатывают данные в регистрах общего назначения MIPS-архитектуры, таким же образом, как это делают обычные MIPS инструкции. Чтобы продемонстрировать как разработчики могут использовать CorExtend в своих приложениях, MIPS Technologies разработала несколько проектов для мультимедиа, Voice over Packet, DSP - вся документация может быть получена с www.mips.com Инженеры должны разработать свои CorExtend инструкции, используя Verilog. Средства разработки для MIPS Pro MIPS SDE 5.0 - включают среду отладки MULTI, и оптимизирующе компиляторы от Green Hills Software и симулятор MIPSsim от MIPS. www.mips.com 1.3.2. Обобщения и выводы. Прежде всего интересно отследить стремительную историю фирмы LISAtek в DACAFE-новостях. LISAtek основана в 2001 году с целью внедрения в индустрию разработок, выполненных в рамках научных исследований в Aachen Technical University (Германия). В мае 2002 года фирма LISATek упоминается среди 37 фирм, впервые выставляющихся на DAC (Design Automation Conference). В июне 2002 года анонсируется выпуск фирмой LISAtek релиза своего уникального продукта для проектирования встроенных процессоров новых поколений (по цене $50,000). А в январе 2002 разработки LISAtek становятся собственностью CoWare. Очевидна огромная востребованность такого рода разработок. Другим подтверждением высказанного утверждения является новость о том, что MIPS Technologies давно и прочно занявшая свою нишу на рынке IP-компонент процессоров, сделала шаг в сторону пользовательской конфигурации и расширения архитектуры процессоров - вплоть до введения новых инструкций. Очевидно, это невозможно без соответствующих средств генерации моделей и настраиваемых систем симуляции и отладки. 1.4. "5. От C++ к HDL и обратно" 1.4.1. Только факты 13 января Academie des Sciences (France) избрала Gerard Berry (создатель языка Esterel) четвертым full computer science member Academie des Sciences (France) - элитная организация, возглавляющая научные исследования во Франции с 1666 года. Berry (54 года), будучи директором Applied Mathematics Centre at Ecole des Mines со своей командой (совместно с INRIA) разработал синхронный язык ESTEREL. Вначале этот язык был применен к разработке программного обеспечения электронных устройств, а затем и к разработке аппаратного обеспечения. Berry стал Chief Scientist фирмы Esterel Technologies в 2001 году. Он отвечает за разработку нового поколения синхронного языка для создания "корректных по построению" решений - от спецификаций к реализациям. www.esterel-technologies.com 27 января Toshiba и Elixent совместно разрабатывают реконфигурируемые SoC-платформы Эти платформы будут интегрировать конфигурируемый массив выполнения алгоритов D-Fabric от Elixent и конфигурируемый процессор MeP от Toshiba. Комбинация динамической реконфигурации и изменения архитектуры выполнения алгоритма "на-лету" под управлением процессора Toshiba MeP принесет существенное сокращение стоимости и потребления энергии. Получится полностью программируемое решение с производительностью, превосходящей самые производительные DSP. Платформа Elixent D-Fabrix RAP (reconfigurable algorithm processing) разрабатывает алгоритмы в "виртуальном аппаратном обеспечении", позволяя создание аппаратной акселерации любого алгоритма системы. Благодаря реконфигурируемости, она может реализовывать множество аппаратных акселераторов на одной и той же области чипа, что дает высокую эффективность использования площади кристалла. Более того, реконфигурируемость позволяет добавлять и изменять функциональность после изготовления чипа - исправляя ошибки, или добавляя новые функции. Это достигается благодаря отображению алгоритма в обрабатывающий массив из АЛУ, регистров и памятей, давая уникальную возможность адаптировать любой алгоритм, тем самым обеспечивая гибкость програмных решений с производительностью ASIC. При сравнении на бенчмарках со стандартными DSP D-Fabrix обеспечивает 10-кратное преимущество в производительности при меньших размерах кристалла и существенно сокращенном потреблении энергии. D-Fabrix - это новый класс устройств, поддерживающих мультифункциональность и адаптируемость к изменению спецификаций. Почему реконфигурируемость - горячая тема для полупроводников: В начале 2003 года а) появятся коммерческие чипы по технологии 90 нм, обеспечивающие 4-кратное увеличение плотности по сравнению с технологией 0.18 мк и двукратное увеличение плотности по сравнению с 0.13 мк. Стоимость маски для технологи 90 нм примерно в 6 раз выше чем стоимость маски для технологии 0.18 мк б) В то же время лучшие фабрики переходят на 300 мм заготовки, которые примерно вдвое увеличивают количество устройств на заготовке по сравнению с последним поколением заготовок размером 200 мм Эта комбинация убийственна для отрасли. 6-кратное увеличение маски поднимает планку массовости выпуска, при которой выгодно производить ASIC. Решением этой проблемы являются реконфигурируемые SoC-платформы, которые содержат набор программируемых компонент, таких как RISC и RAP (Reconfigurable Algorithm Processor) возможно со специальными интерфейсами. Такая SoC может реконфигурироваться, чтобы служить в различных рыночных нишах. Два основных достоинства: - цена маски разделяется между множеством проектов - SoC полностью программируема - следовательно, если будет найдена ошибка, или потребуются изменения функциональности, не будет необходимости изготоваливать новый чип (и платить за маску повторно). Реконфигурируемость "на лету" и "во времени" позволит использовать одну область кристалла для выполнения множества функций - что приводит к сокращению размеров чипа. www.elixent.com www.mepcore.com 1.4.2. Обобщения и выводы Основной источник превышения проектами смет и временных лимитов - ошибки разработчиков. Поэтому естественно стремление индустрии развивать средства, генерируюие безошибочные "по построению" проекты из более высокоуровневых отлаженных описаний. Язык Esterel послужит отправной точкой к разработке нового языка, обеспечивающего генерацию "корректных по построению" проектов. В этом же направлении ориентирована и совместная разработка фирм Toshiba и Elixent. Алгоритм описывается на языке программирования, а компилятор генерирует корректную АРХИТЕКТУРУ, исполняющую заданный алгоритм. Дополнительным достоинством подобных решений является возможность реконфигурировать чип под заданный проект. 1.5. "6. IP-компоненты процессоров. 6.1. ARM шагает по планете" 1.5.1. Только факты 20 января Новая SoC-платформа SoCMosaic от TAEC сокращает срок проектирования с 18 месяцев до 4 месяцев TAEC (Toshiba America Electronic Components, Inc.) обеспечивает для SoCMosaic множество IP-компонент (включая процессоры семейства ARM и ARM, Mentor и Synopsys ARM-PrimeCells), стандартизованные шинные интерфейсы (ARM AMBA), масштабируемую систему шин (Sonics system-level bus, OCP), RTL-тестбенч и поцикловую С-симуляцию. Поддержка проектирования на системном уровне включает разработку аппаратного и программного обеспечения (firmware и middleware) с помощью поцикловых моделей системы для раннего начала разработки прикладного ПО. SocMosaic поддерживает такие ОС как Linux и другие RTOS (VxWorks и Nucleus), обеспечивая ввод-вывод, прерывания, счетчики, последовательные порты. Пользователь может выбирать такие IP-копоненты как встроенная DRAM, контроллеры Ethernet, USB, 1394, PCI; SerDes; VoIP, MPEG и 802.11. Планы TAEC на будущее таковы: - сделать FPGA-плату для тестирования скомпонованной реализации платформы - сделать другую платформу, добавив DSP функции - добавление middlware (MPEG4, JPEG, MP3, etc.) - расширение библиотеки IP компонент моделями для сетевой обработки, мультимедиа, принтеров, хранения. - пополнение высоккоуровневых С-моделей - обеспечение синтеза платформы (поставляемой как soft RTL) под любую технологию включая 0.18 мк, 0.13 мк, 90 нм, 65 нм SoCMosaic версии 1 (однопроцессорная, под Linux или RTOS) будет поставляться с апреля 2003 года. SoCMosaic версии 2 (мультипроцессорная) будет поставляться со второй половины 2002 года. chips.toshiba.com www.toshiba.com/taec 20 января ARM выпускает Integrator Logic Tile Integrator Logic Tile - это дополнение к ARM RealView - модуль, который использует высокопроизводительную, емкую FPGA (Xilinx VirtexII XC2V6000) для обеспечения прототипирования SoC. Обеспечивается объединение таких модулей для прототипирования больших SoC с помощью ARM Integrator. Состав ARM RealView: - средства компиляции: С и С++ компиляторы, ассемблер и линкер - средства отладки: отладчик RealView Debugger, эмулятор RealViewICE и RealView Trace - платы и платформы семейства ARM Integrator www.arm.com/devtools/Integrator_LT 27 января Virtual Silicon лицензирует свои разработки - Standard Cells фирме ARM - для перевода процессоров ARM946E-S и ARM1022E из soft-описаний в hard-описания для технологий 0.18 мк и 0.13 мк Теперь эти Standard Cell будут распространяться в рамках ARM Foundry Program. www.virtual-silicon.com 1.5.2. Обобщения и выводы Toshiba создала платформу на базе процессоров семейства ARM. ARM развивает средства эмуляции проектов на базе своих процессоров (выпустив Integrator Logic Tile) и дополняет софт-описания своих процессоров hard-описаниями для технологий 0.18 мк и 0.13 мк. 1.6. "6.2. MIPS - с отставанием от ARM, но с опережением всех остальных" 1.6.1. Только факты 22 января MIPS Technologies публикует результат EEMBC тестирования лицензируемого ядра MIPS64 20Kc (на частоте 600 Мгц) EEMBC - Embedded Microprocessor Benchmark Consortium, основан в 1997 году. Тесты EEMBC основываются на фундаментальных алгоритмах для соответствующих областей приложения. Был использован компилятор от Green Hills Software. Результаты : Telemarks 10.20 Consumermarks 39.42 Netmarks 10.62 OAmarks 519.87 Automarks 401.34 20Kc - это реализация MIPS64 архитектуры с суперскалярным 7-стадийным конвейером. 20Kc включает SIMD FPU по стандарту IEEE754 с расширениями MIPS-3D для графических приложений. 20Kc способен исполнять за один такт 2 целочисленных инструкции или 1 целочисленную и 1 вещественную. На частоте 600 Мгц 20Kc обеспечивает производительность 1020 DMIPS при обработке целых чисел и 2.4 GFLOPS - пиковая производительность обработки вещественных чисел и 30 миллионов многоугольников в секунду при обработке геометрической информации. Процессор 20Kc ориентирован на цифровую потребительскую электронику и сетевую обработку. www.mips.com 27 января Серия процессоров MIPS Pro от MIPS Technologies MIPS Pro обладают возможностью CorExtend - для расширения возможностей стандартных архитектур MIPS32 - например , добавления DSP-возможностей. Используя CorExtend, разработчики могут определить собственные инструкции, которые обрабатывают данные в регистрах общего назначения MIPS-архитектуры, таким же образом, как это делают обычные MIPS инструкции. Чтобы продемонстрировать, как разработчики могут использовать CorExtend в своих приложениях, MIPS Technologies разработала несколько проектов для мультимедиа, Voice over Packet, DSP - вся документация может быть получена с www.mips.com Инженеры должны разработать свои CorExtend инструкции, используя Verilog. Средства разработки для MIPS Pro MIPS SDE 5.0 - включают среду отладки MULTI, и оптимизирующе компиляторы от Green Hills Software и симулятор MIPSsim от MIPS. www.mips.com 1.6.2. Обобщения и выводы MIPS64 20Kc показывает на тестировании производительности привлекательные результаты, а MIPS Technologies добавляет привлекательность своим процессорам, внедряя возможность их реконфигурации пользователями (вплоть до введения своих инструкций). 1.7. "6.3. И другие процессорные ядра" 1.7.1. Только факты 18 февраля Altera выпускает процессор Nios 3.0 Новые возможности основаны на обратной связи от растущего множества пользователей Nios. На сегодня пользователям продано более 10,000 Nios HDK (Hardware Development Kit). Новые возможности Nios 3.0: - конфигурируемые пользователем кеши уровня 1 (L1) инструкций и данных - улучшенный контролер SDRAM - улучшенная шина Avalon, иcпользуемая процессором Nios: теперь она может поддерживать конвейеризованные транзакции данных, поддерживаются post-read и post-write операции - новый отладчик реального времени, основанный на JTAG OCI (On-Chip Instrumentation) ядре от FS2 (First Silicon Solutions) - надежная IDE : ATI code|lab Developer Suite позволяет разработчикам программного обеспечения быстро редактировать, компилировать, загружать и отлаживать Nios-код, с помощью аппаратного отладчика реального времени - библиотека программной поддержки протоколов включает поддержку для многих Ethernet-протоколов, включая APR, IP, ICMP, TCP, UDP, и Ethernet - по цене $495. С момента своего появления на рынке - осени 2000 года Nios добился большого успеха. www.altera.com/nios www.acceleratedtechnology.com 1.7.2. Обобщения и выводы. Altera агрессивно борется за свое место на рынке IP-компонент процессоров. 1.8. "7. В мире ПЛИС. 7.1. ПЛИС расширяют сферу применения" 1.8.1. Только факты 15 января Marconi будет выпускать свои мультисервисные свичи BXR-48000 на базе Altera FPGA: Stratix, Mercury, APEX 20K, HardCopy Устройства HardCopy - это альтернатива ASIC. Altera, основываясь на собственной технологии, обеспечивает перевод из FPGA в пользовательские реализации с сокращенной стоимостью. www.altera.com/hardcopy 20 января Altera выпускает первые устройства Stratix GX EP1SGX25F и EP1SGX25D EP1SGX25F имеет более 25,000 логических элементов, 16 3.125-Gbps полно-дуплексных трансиверов. www.altera.com 20 января Altera Cyclone продаются с опережением графика FPGA Cyclone - самые дешевые FPGA - $1.5 на 1,000 логических элементов. Цена на чипы семейства Cyclone - от $4 до $40 за штуку в больших партиях для чипов от EP1C3 до EP1C20 соответственно. С момента выдвижения концепции до начала продаж прошло всего 15 месяцев. Плотность чипов семейства Cyclone - от 2,910 до 20,060 логических элементов, с RAM до 288 Кбит. www.altera.com/cyclone www.altera.com/cycloneavailability www.altera.com/customerquotes 20 января Lattice выпускает самые низкопотребляющие FPGA - семейство ispMACH 4000Z Энергопотребление ispMACH 4000Z составляет 20% от энергопотребления самых низкопотребляющих CPLD. ispMACH 4032Z - первый из трех начальных устройств серии ispMACH 4000Z с емкостью от 32 до 128 макроячеек. Реальное энергопотребление - 20 микроампер в худшем случае для устройства с 32 макроячейками. Кроме того, ispMACH 4032Z имеет 3.5 нс задержку tPD (pin-to-pin delay), 3.0 нс задержку tPO (clock-to-output delay), 2.2. нс tS (set-up time), и 265MHz fMAX (рабочая частота). Проектирование ispMACH 4000 поддерживается новыми средствами разработки от Lattice : ispLEVER. Цена на ispMACH 4032Z меньше чем $1.00 в партиях по 100,000 штук. www.latticesemi.com 20 января Altera начинает промышленный выпуск FPGA Stratix EP1S80 Stratix EP1S80 - это самая большая FPGA, доступная сегодня на рынке из изготавливаемых по технологии 0.13 мк: 79,040 логических элементов, 7.2 Мбит встроенной RAM, и 1,238 контактов ввода/вывода. Цена на Stratix EP1S80 - от $800 в больших партиях. Начало продаж ожидается к концу 2003 года. www.altera.com/products/devices/stratix/stx-index.jsp 27 января Xilinx анонсирует снижение цен на Virtex-II Pro FPGA XC2VP4 - 6700 логических элементов, 500Кбит памяти, процессор Power PC и 4 последовательных трансивера RocketIO - меньше чем за $30 (в конце 2004 года при партиях по 100,000 штук). (почти в 2 раза дешевле чем в 2002 году). XC2VP20 - 20,000 логических элементов, 1.5 Mбит встроенной RAM, два процессора Power PC и 8 трансиверов RocketIO - меньше чем за $100. (в конце 2004 года при партиях по 50,000 штук). Xilinx предлагает также Xilinx Virtex-II Pro EasyPath - перенос решений с FPGA на ASIC для сокращения стоимости на 80%. Дешевые Spartan-IIE : до 600К системных вентилей, и 514 контактов ввода/вывода. Xilinx ISE средства разработки используют 150,000 пользователей по всему миру. www.xilinx.com 10 февраля Lattice выпускает самую маленькую и самую быструю CPLD Семейство ispGAL22V10A обеспечивает рабочую частоту (fMAX) до 455 Мгц, tPD - 2.3 нс (pin-to-pin delays), tCO - 2.0 нс (clock-to-output delay), tSU - 1.3 нс (set-up time), низкое энергопотребление в режиме standby (менее 300 микроватт), обеспечивая работу внешних котактов при питании 3.3, 2.5, 1.8 вольт. Новый корпус (32-pin QFN - Quad Flat-pack No-lead)) на 84% меньше (5 мм x 5 мм) чем традиционный корпус PLCC. ispGAL22V10A поддерживается Lattice ispLEVER 3.0 Service Pack 2003.01 и Lattice ispVM 13.0. Цена - менее $1 в партиях по 50,000. www.latticesemi.com 11 февраля Lightspeed - пионер в "Modular Array ASIC" Lightspeed поставляет семейство Luminance - Modular Array ASIC которые предлагают до 10 миллионов вентилей для изготовления пользовательских чипов - в течение нескольких часов - по технологии 130 нм, CMOS. Программное обеспечение процесса составляют Physical-Studio, Columbus-Turbo и ShowTime от Sequence. Lightspeed Semiconductor имеет центры проектирования в USA: (California, Texas, New Hampshire) и UK. Sequence Design - член парнерских программ Cadence Design Systems Connections и Mentor Graphics Open Door www.lightspeed.com sequencedesign.com 1.8.2. Обобщения и выводы Прежде всего необходимо отметить обострение борьбы за клиента "на чужих территориях". То есть, ASIC-производители стараются "переманить" на ASIC-технологии, тех, кто использует FPGA технологии. Хороший пример - ранее упомянутая совместная разработка Elixent и Toshiba, обеспечивающая конфигурируемость ASIC. Другой пример - фирма Lightspeed, продвигающая технологию Modular Array ASIC, которая обеспечивает изготовление в течение нескольких часов пользовательских чипов размером до 10 миллионов вентилей. Аналогично, фирмы - производители FPGA - борются за пользователей, которые пока (с точки зрения FPGA-производителей) используют ASIC технологии. В частности Altera продвигает собственную технологию HardCopy, которая обеспечивает перевод из FPGA в пользовательские реализации с сокращенной стоимостью. А Xilinx предлагает также Xilinx Virtex-II Pro EasyPath - перенос решений с FPGA на ASIC для сокращения стоимости на 80%. Кроме того, продолжается совершенствование FPGA и по таким (важным для пользователя при выборе между ASIC и FPGA, а также между различными FPGA-производителями) показателям как: - стоимость : FPGA Cyclone продаются по цене $1.5 на 1,000 логических элементов, Xilinx снижает цены на Virtex-II Pro FPGA почти в 2 раза по сравнению с 2002 годом - цена XC2VP20 (20,000 логических элементов, 1.5 Mбит встроенной RAM, два процессора Power PC и 8 трансиверов RocketIO) меньше $100. - энергопотребление : Lattice выпускает семейство ispMACH 4000Z с потреблением 20 микроампер на 32 макроячейки - быстродействие : Lattice выпускает семейство ispGAL22V10A с рабочей частотой до 455 Мгц - емкость : Altera начинает промышленный выпуск FPGA Stratix EP1S80, содержащей 79,040 логических элементов, 7.2 Мбит встроенной RAM, и 1,238 контактов ввода/вывода. 1.9. "7.2. Развитие средств проектирования ПЛИС" 1.9. Только факты 6 января Synplicity выпустила новую версию Synplify ASIC 2.4 В ней улучшено качество синтеза для datapath, арифметических функций, конвейерных умножителей - производительность синтезируемых схем повышается на 25%. Synlify ASIC автоматически извлекает нужные сведения непосредственно из RTL-описаний. Synplify ASIC может генерировать либо самые быстрые схемы, либо схемы с минимальным оборудованием, удовлетворяющие заданным ограничениям на задержки. Кроме того, Synplicity формирует страгегический альянс с Hynix Semiconductor, Inc. (ранее Hyundai Electronics Industries Co. Ltd - ведущий производитель ASIC в Корее) для поддержки пользователей в Корее. Среди ведущих мировых ASIC-производителей Synplify ASIC приняты AMI Semiconductor, Chip Express, Faraday, Fujitsu, IBM Microelectronics, Lightspeed Semiconductor и Oki Semiconductor. Synplify ASIC работает под Linux (Red Hat 7.3), HP-UX 11.0, Sun Solaris 2.7/2.8/2.9, Windows NT 4.0, Windows 2000. Годичная лицензия - $45,000, бессрочная лицензия - $115,000. По оценкам DataQuest, Synplicity - поставщик No 1 средств синтеза для PLD в 2001 году, владеющий 54% рынка. На 31 октября на Synplicity работало 255 человек в 20 подразделениях по всему миру. www.synplicity.com 14 января Aldec выпускает Riviera 2002.12 В 3 раза повышена производительность симуляции и объем в вентилях обрабатываемых проектов, добавлены новые качества. Потребность в симуляции памяти продолжает расти. Однако в некоторых случаях симуляция 256 Мбт SDRAM требует 2GB физической RAM, даже если тестбенч читает/пишет только 1% исходной памяти. Riviera 2002.12 включает поддержку Sparse Memory Control который динамически выделяет физическую память Verilog-симуляторам. Поэтому Riviera использует только 1% распределенной памяти и эффективно симулирует проект. Новый броузер проекта (Design Browser) интегрирует все модули Riviera в единую среду проекта. Графический просмотрщик/редактор временных диаграмм позволяет добавлять/удалять сигналы, модифицировать их значения, изменять свойства отображения, переименовывать, копировать образцы. Модифицированные временные диаграммы могут быть сохранены и повторно использованы как тест-векторы в последующей симуляции или экспортированны графически для документирования. Riviera 2002.12 включает новый просмотрщик памяти (Memory Viewer), который позволяет получить графическое представление того, насколько эффективно используется память. Riviera собирает данные и отображает содержимое памяти в специальном окне. Отображение включает сохраненные значения, их тип, длину в словах, глубину памяти, диапазон адресов. задействованных во время симуляции. Объекты могут отображаться как VHDL-сигналы или переменные, или как Verilog-массивы. Riviera 2002.12 включает улучшенный автоматизированный интерфейс к Summit Design Visual Elite, Novas Debussy (при помощи нового FSDB-writer), оптимизации VHPI/PLI и дополнительную поддержку для функций PLI (VPI). Riviera поддерживает стандарты IEEE VHDL 1076-87/93, Vital 2000 Verilog 1376-95 и 2001. БЕСПЛАТНУЮ оценочную копию Riviera можно получить на www.aldec.com/riviera 20 января Summit Design выпускает HDL Score 4.0 HDL Score 4.0 - средство анализа покрытия кода теперь поддерживает FSM (Finite State Models) на базе триггеров, пометку сигналов, повышена производительность. Цена HDL Score - от $15,000. www.sd.com 20 января Оценочная версия nVisage DXP бесплатно доступна на 30 дней nVisage обеспечивает: - ввод схем для плат - создание FPGA-проекта из схемных и VHDL описаний - SPICE/VHDL симуляцию - полную совместимость с платой Protel DXP Altium основана в 1985 году, первые EDA-средства под Windows выпустила в 1991 году. www.nvisage.com/product/trial.htm www.altium.com 28 января 2002 - хороший год для Get2Chip: выполнены 130 проектов, включая 50-миллионо-вентильный проект Разработки : RTL Compiler (G2C-RC), и Architectural Compiler (G2C-AC). Get2Chip, основана в 2000 году экспертами из Cadence Design Systems, Inc., LSI Logic Corporation, Mentor Graphics Corporation, Synopsys и VLSI Technology, сейчас - часть Philips Semiconductors. Продукты Get2Chip работают на Sun, Hewlett Packard, PC под Linux. www.get2chip.com 12 февраля Actel улучшает IDE Libero для проектирования FPGA новых поколений Улучшены синтез (с помощью Synplicity Synplify 7.2) и размещение и трассировка. В новую версию IDE LIbero 2.3 включены также улучшенные версии SynaptiCAD WaveFormer Lite 8.9 и Mentor Graphics ModelSim 5.6. Actel IDE Libero 2.3 доступна в 3-х изданиях: Platinum, Gold и Silver. Цена - от $995. Libero Silver и Evaluation бесплатно доступны через сайт в течение года и 45 дней соответственно. www.actel.com 12 февраля Отладочная система Verdi фирмы Novas отмечена EDN 2002 Verdi позволяет сократить время отладки на 50% и более. При работе с неизвестной логикой, Verdi позволяет сгенерировать временный граф потока (temporal flow graph), задать входные значения и нажать кнопку "вычислить". Verdi внедрен на рынок в мае 2002 года. www.novas.com 18 февраля Synplicity выпускает новую версию Amplify Physical Optimizer Среди новых возможностей - инкрементальный синтез, интерактивный временной анализ, более эффективная поддержка основных семейств FPGA (Altera Stratix и Stratix GX, Xilinx Virtex II-Pro). Amplify Physical Optimizer поставляется как добавление к Synplicity Synplify Pro по цене от $29,000. www.synplicity.com 18 февраля Synplicity улучшает Certify Новая версия Certify обеспечивает улучшенную наблюдаемость во время прототипирования ASIC, поддержку Altera Stratix, работу под Linux совместима с платой прототипирования DN5000K10 от DINI Group. Цена Certify 6.2 - $115,000 за вечную лицензию и $45,000 за годичную. www.synplicity.com 1.9. Обобщения и выводы На рынке синтезаторов наиболее активными показали себя Synplicity и Get2Chip. Интересно отметить также тот факт, что Get2Chip была основана в 2000 году, добилась определенных успехов на рынке синтезаторов, и уже куплена Philips Semiconductors. Среди средств отладки наиболее активно развиваются Debussy (Verdi) фирмы Novas, Riviera от Aldec, Libero от Actel. В отчетном периоде отмечены также nVisage DXP от Altium и HDL Score от Summit Design. 1.10. "7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.2. Телекоммуникации" 1.10.1. Только факты 15 января Marconi выбрала Xilinx Virtex-II для своих цифровых продуктов следующих поколений для оптических сетей Xilinx имеет специальный ресурс для применения FPGA в оптических сетях: www.xilinx.com/esp/optical 15 января Xilinx выпускает новое адаптивное устройство для уменьшения стоимости последовательной передачи данных на базе Virtex-II Pro (с процессором Power PC) Соответствующие документы доступны: www.xilinx.com/xapp/xapp662.pdf www.xilinx.com/xapp/xapp661.pdf www.xilinx.com/xapp/xapp660.pdf www.xilinx.com/publications/products/v2pro/userguide/ug024.pdf www.xilinx.com/connectivity 15 января Marconi будет выпускать свои мультисервисные свичи BXR-48000 на базе Altera FPGA: Stratix, Mercury, APEX 20K, HardCopy Микросхемы Stratix основаны на 1.5в, 0.13 мк SRAM технологии, плотность в диапазоне от 10,570 до 114,140 логических элементов, могут содержать до 10 Мбит RAM, до 28 блоков цифровой обработки сигналов, до 224 умножителей. Могут служить хорошей основой для реализации интерфейсов, включая UTOPIA IV, SPI-4 Phase 2, SFI-4, 10G Ethernet XSBI, RapidIO, HyperTransport. Устройства HardCopy - это альтернатива ASIC. Altera, основываясь на собственной технологии, обеспечивает перевод из FPGA в пользовательские реализации с сокращенной стоимостью. www.altera.com/hardcopy www.altera.com/stratix www.altera.com www.marconi.com 1.10.2. Обобщения и выводы Телекоммуникации - стремительно развивающаяся сфера применения цифровой электроники. Гибкость FPGA позволяет их производителям отвоевывать часть этого рынка у производителей ASIC. Об этом, в частности, свидетельствуют анонсированнные решения фирмы Marconi. Интересен также тот факт, что Marconi "не кладет все яйца в одну корзину" - поскольку часть устройств она намерена проектировать на базе FPGA Stratix фирмы Altera, а часть - на FPGA Xilinx Virtex-II. 1.11. "8. IP-компоненты для ПЛИС и ASIC. 8.2. Телекоммуникации" 1.11.1. Только факты 6 января ICSI разработала и открыла проект Bluetooth USB ICSI (Integrated Circuit Solution Inc) - fabless-компания, основана в 1998 году в Тайване, первые проекты предложила в 2001 году. Данный проект основан на использовании встроенного процессора Turbo 8052 и сответствует спецификации Bluetooth 1.1. www.icsi.com.tw 20 января Palmchip выпускает IP-компоненту Serial ATA (BK-3719) Serial ATA призван заменить Parallel ATA. www.serialata.org www.palmchip.com 27 января Xilinx продает IP-компоненту 133MHz PCI-X 2.0 PCI-X - это 64-битный параллельный интерфейс для присоединения периферийного оборудования к высокопроизводительным серверам и рабочим станциям. PCI-X 2.0 поддерживает работу на частотах 133Мгц, 100 Мгц, 66 Мгц, 33 Мгц. Цена компоненты PCI-X 2.0 - $17,995. www.pcisig.com www.xilinx.com/ipcenter 12 февраля Synopsys анонсировала разработку IP-компоненты для PCI Express Эта синтезируемая IP компонента включена в DesignWare. Обеспечена ее конфигурация, чтобы ее можно было использовать в широком спектре приложений от серверов и рабочих станций до мобильных устройств. По лицензии получается исходный Verilog-код, примеры скриптов для синтеза, указания по интеграции, список критических путей, требования к драйверу ввода/вывода. Имеется также компонента для верификации - DesignWare PCI Express Verification IP - для упрощения разработки среды тестирования. Она включает BFM (Bus Function Models) для конечной точки и свича, расширяемый пользователем монитор валидации соответсвия протоколу и имерения покрытия. Случайная управляемая ограничениями генерация тестов обеспечивает создание тысяч транзакций и тестирует поведение в крайних случаях всего несколькими командами. DesignWare PCI Express Verification IP написана на OpenVera и является полнофункциональной в среде верфикации на базе Verilog, VHDL, C. DesignWare включает верификационные IP-компоненты для PCI, PCI-X, PCI-X 2.0, AMBA, USB и др. www.synopsys.com/designware 1.11.2. Обобщения и выводы Рынок телекоммуникационных IP-компонент развивается весьма динамично, в частности в отчетный период анонсированы IP компоненты Bluetooth USB (ICSI), Serial ATA (Palmchip), PCI-X 2.0 (Xilinx), PCI Express (Synopsis). 1.12. "8.4. Как распространяются IP-компоненты" 1.12.1. Только факты 8 января Faraday использует QuickUse IP Repository от Mentor Graphics - в качестве инфраструктуры, базирующейся на WEB-технологиях, для управления данными и IP-компонентами проектов. Faraday является глобальным поставщиком проектных сервисов и IP-компонент (включая CPU, DSP и др.), выпуская более 300 проектов в год. Библиотека QuickUse IP доступна тремя способами: - через WEB-броузер - посредством Java-based GUI client - через интерфейс командной строки Обеспечивается сопоставление качества IP-компоненты со стандартами VSIA и OpenMORE, а также с внедренными в систему пользовательскими стандартами. Имеется полный API (application programming interface), позволяющий интерировать в систему стороннее программное обеспечение. www.faraday.com.tw www.mentor.com/consulting www.mentor.com 20 января Palmchip вместе с Dimension Funding вводит новый способ лицензирования IP-платформ и IP-компонент Лицензирование через Dimension Funding позволяет растянуть оплату на срок от 3 месяцев до 3 лет. Palmchip Corporation основана в 1996 году. Dimension Funding основана в 1978 году. www.palmchip.com www.dimensionfunding.com 28 января AMI Semiconductor подписала с Mentor Graphics многолетнее соглашение о лицензировании IP компонент библиотеки Inventra www.amis.com/digital/ip_cores.cfm www.mentor.com 1.12.2. Обобщения и выводы Как могут распространяться IP-компоненты ? - Можно лицензировать библиотеку IP-компонент у разработчика (как AMI Semiconductor лицензировала библиотеку Inventra у Mentor Graphics) - Можно использовать Internet-технологии для эффективного доступа к IP-компонентам всем подразделениям глобальной компании, как это сделала фима Faraday, эксплуатирующая QuickUse IP Repository от Mentor Graphics. Интересен новый подход к разделению функций, выдвинутый совместно Palmchip и Dimension Funding. Известно, что лицензирование IP-компонент дело дорогостоящее. "Лицензирование в кредит" может увеличить количество пользователей и соответственно прибыль компаний, производящих IP-компоненты. С другой стороны, увеличение количества пользователей IP-компонент приводит к развитию рынка в целом. Однако грамотное кредитование и гарантированное возвращение кредитов требует наличия соответствующих профессионалов: финансистов, юристов и т.д. Такими специалистами как раз и являются сотрудники Dimension Funding. 1.13. "9. Верификационные IP-компоненты 9.2. Другие языки и средства верификации симуляцией" 1.13.1. Только факты 5 февраля Synopsys анонсирует DesignWare AMBA Compliance Tool DesignWare ACT базируется на IP компоненте DesignWare AMBA On-Chip Bus (OCB) и позволяет пользователям удостовериться, что их IP-компоненты и проект соответствуют стандарту межсоединений AMBA 2.0 DesignWare ACT написана на языке верификации OpenVera. Цена DesignWare ACT - $10,000 за годичную лицензию. Кроме того, DesignWare ACT требует лицензию на DesignWare Library (которая включает DesignWare AMBA OCB). Годичная лицензия на DesignWare Library составляет $28,000. www.synopsys.com/designware 24 февраля Synopsys выпускает платформу верификации и технологии тестирования Новые технологии верификации включают - продвинутый constraints solver engine для создания мощных и эффективных стимулов - новый анализатор покрытия для измерения качества среды верификации - поддержку OpenVera Assertions. Все это включено в средство автоматизации верификации VERA и работает бесшовно с HDL-симулятором VCS 7.0. Платформа верификации от Synopsis включает HDL симулятор VCS, SystemC симулятор CoCentric System Studio, средство автоматизации тестирования Vera, чекер эквивалентности Formality. www.synopsys.com 24 февраля Motorola SPS выбирает VCS и VERA от Synopsis в качестве инструмента для верификации Стоимость маски возросла до 2-3 миллионов долларов. И это вынуждает тратить больше сил и средств на верификацию. www.synopsys.com 1.13.2. Обобщения и выводы Верификация - узкое место процесса разработки - требует новых средств. Цена на анонсированные верификационные IP компоненты от Synopsis (от $10,000 до $28,000 за годичную лицензию) красноречиво об этом свидетельствует. 1.14. "9.3. Средства формальной верификации" 1.14.1. Только факты 6 января TransEDA VN-Cover Emulator теперь поддерживает Mentor Graphics Celaro и VStation Сегодня верификация занимает до 60-70% ресурсов проекта. Недели и даже месяцы могут быть потрачены на исполнение тестов при верификации проекта. Существенное сокращение этого времени может быть достигнуто при аппаратной эмуляции. Интеграция TransEDA VN-Cover Emulator с эмуляторами от Mentor: Celaro и VStation позволяет точную оценку качества значительного множества тестов. TransEDA была основана в 1992 году (Los Gatos CA). www.transeda.com www.mentor.com 6 января Средства формальной верификации от 0-In успешно использованы National Semiconductor перед изготовлением чипа Средства от 0-In (0-In Check и 0-In Search) выявили несколько ошибок, не обнаруженных традиционными средствами верификации. Разработчики чипа добавили более 5000 assertion checkers из библиотеки 0-In CheckerWare - при последующей симуляции были обнаружены ошибки - от простых типа некорректное освобождение очереди FIFO до сложных крайних случаев, которые трудно обнаружить обычными тестами. Кроме того, были разработаны пользовательские мониторы протоколов для CheckWare, отслеживавшие корректность функционирования шин в динамике - это также позволило обнаружить ошибки - в том числе в обеспечении когерентности памяти. 0-In основана в 1996 году в San Jose, Calif. www.0-in.com 13 января 0-In партнерствует с Mentor Graphics 0-In разработала ABV (assertion-based verification) стратегию интероперабельности, основанную на Verilog RTL и поддержке стандартов Accellera. С помощью Check-In Partner Program, 0-In и ее EDA-партнеры сотрудничают в обеспечении пользователей независимыми от тестбенчей и симуляторов assertion-операторами на протяжении всего цикла проектирования и верификации - от уровня блоков до системного уровня. Методологгия ABV позволяет использовать одни и те же assertions во время симуляции, формальной верификации, аппаратной акселерации и эмуляции. www.0-in.com www.mentor.com 27 января EDN Magazine включил SpyGlass фирмы Antenta в Top 100 продуктов 2002 года Полный список Top 100 опубликован 12 декабря 2002 года и доступен на сайте www.ednmag.com Atrenta предлагает новый подход к ускорению проектирования сложных SoC, ASIC и FPGA посредством предсказательного анализа. SpyGlass - это первый инструмент, который выполняет детальный структурный анализ RTL-(Verilog и VHDL) описаний с целью обнаружить сложные проблемы. SpyGlass включает быстрый ситнезатор, логический оцениватель и технологии контроля готовности к тестированию. Atrenta имеет уже более 50 пользователей таких как: Agere, Agilent, Apple, ARM, Canon, Compaq, Fujitsu, Hitachi, Motorola, National Semiconductor, Nortel, Olympus, Toshiba и др. Antrenta имеет офисы в Англии и Франции, центр разработок в Индии, дистрибьторов в Индии,Израиле, Японии, Корее, Сингапуре и Тайване. www.atrenta.com www.ednmag.com 27 января 0-In продвигает технологии верификации SoC для Clock-Domain Crossings Новая разработка 0-In Checklist включает : - автоматическое обнаружение "crossing clock domains" сигналов - статический формальный поиск опасной синхронизации - атвоматическую генерацию мониторов синхронизации - использование мониторов синхронизации при формальной верификации и симуляции. www.0-in.com 27 января 0-In анонсирует новую технологию (DCE) поиска ошибок в RTL DCE (Deep CounterExample) - находит ошибки трех основных типов. DCE реализована в новом продукте - 0-In Confirm, который поставляется в пакете ABV Suite 2.0. Несмотря на то, что половина общих ресурсов проекта тратится на фунциональную верификацию, большинство SoC-проектов имеют ошибки "крайних случаев" при первом изготовлении в силиконе. Обнаружение, диагностика и исправление таких ошибок - это трудный и время-затратный процесс, который может стоить миллионы долларов и часто приводит к потере места на рынке. Новая DCE-технология предназначена искать ошибки, которые не могут быть обнаружены другими средствами верификации. 0-In находит ошибки следующих 5 типов: 1. Крайние случаи управляющей логики 2. Потеря данных в связи с нарушением clock domains 3. Ошибки интерфейсов (не-соответствие) 4. Маловероятные, зависящие от данных ошибки 5. Несоответсвия между симуляцией и синтезом DCE фокусируется на ошибках типов 1, 3, 4. www.0-in.com 27 января 0-In анонсирует ABV Suite 2.0 Цена для США за одногодичную лицензию : 0-In Checklist -- $30K 0-In Check -- $15K 0-In Search -- $50K 0-In Confirm -- $75K 0-In Checklist находит синтаксические и смантические ошибки RTL-кодирования, включая несоответствия симуляции и синтеза, clock domain crossing. Никогда не делает ложных сообщений об ошибках. 0-In Confirm находит глубокие ошибки в RTL, которые не находят другие средства верификации. 0-In Search - выполняет динамическую формальную верификацию увеличив скорость в 100 раз по сравнению с прошлыми выпусками. 0-In Check включает библиотеку CheckWare более 70 Verilog чекеров, которые работают как во время симуляции, так и во время формальной верификации. Поддерживается стандарт для assertions от Accelera. www.0-in.com 4 февраля AMD выбрала SpyGlass от Atrenta для формальной верификации своих проектов SpyGlass Predictive Analyzer и SpyGlass Design For Testability будут использованы для анализа RTL-описаний прежде чем начинать синтез и симуляцию. AMD надеется, что такой подход позволит сократить проектирование на месяцы, сокращая временные затраты на циклы ре-кодирования, ре-синтеза и ре-верификации. Особо указывается на возможность SpyGlass обнаруживать "clock domain crossings" и "testability problems". SpyGlass выполняет детальный структурный анализ VHDL/Verilog кода на RTL-уровне, выявляя ошибки проектирования. SpyGlass инкорпорирует быстрый синтез, логический оценщик, и технологию анализа тестируемости. Пользователями продуктов Atrenta являются более 50 фирм, в том числе Agere, Agilent, Apple, ARM, Canon, Compaq, Fujitsu, Hitachi, Motorola, National Semiconductor, Nortel, Olympus, Toshiba. www.atrenta.com 1.14.2. Обобщения и выводы Отчетый период в разделе "средства формальной верификации" прошел под знаком 0-In. National Semiconductor анонсировала большую помощь от продуктов 0-In при изготовлении реального проекта. 0-In разработала ABV (assertion-based verification) стратегию интероперабельности. ABV позволяет использовать одни и те же assertions во время симуляции, формальной верификации, аппаратной акселерации и эмуляции. 0-In анонсировала новые продукты 0-In Checklist, 0-In Confirm. ABV Suite 2.0. (с ценами от 30 до 75 тысяч долларов). Другим лидером среди разработчиков средств формальной верификации является Atrenta со своим SpyGlass, включенным EDN Magazine в Top 100 продуктов 2002 года. 1.15. "10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС" 1.15.1. Только факты 20 января ARM выпускает Integrator Logic Tile Integrator Logic Tile - это дополнение к ARM RealView - модуль, который использует высокопроизводительную, емкую FPGA (Xilinx VirtexII XC2V6000) для обеспечения прототипирования SoC. Обеспечивается объединение таких модулей для прототипирования больших SoC с помощью ARM Integrator. Состав ARM RealView: - средства компиляции: С и С++ компиляторы, ассемблер и линкер - средства отладки: отладчик RealView Debugger, эмулятор RealViewICE и RealView Trace - платы и платформы семейства ARM Integrator www.arm.com/devtools/Integrator_LT 12 февраля Xilinx анонсирует Virtex-II Pro FPGA Development Board Плата содержит 8 Мбт SDRAM, последовательный порт RS232, 8 пар коннекторов SMA для доступа к четырем полнодуплексным трансиверам RocketIO. Цена платы (HW-AFX-FF1152-300) - $1695. Поддерживаются FPGA XC2VP20, XC2VP30, XC2VP40, XC2VP50. www.xilinx.com 17 февраля Xilinx и Cadence ускоряют разработку мультигигбитных проектов Xilinx RocketIO Design Kit, базирующийся на FPGA Xilinx Virtex-II Pro адаптирован для SPECCTRAQuest Signal Integrity Expert environment. FPGA семейства Xilinx Virtex-II Pro (с встроенным процессором Power PC и 3.125 Гбит/сек последовательными трансиверами) продаются с марта 2002 года. В результате симуляция осуществляется в 10-20 раз быстрее. www.xilinx.com/serialsolution www.cadence.com 24 февраля Incisive - новая верификационная платформа от Cadence Incisive поддерживает верификацию для встроенного программного обеспечения, цифрового аппаратного (control and data path) обеспечения, аналоговых и смешанных проектов. Incisive поддерживает Verilog, VHDL, SystemC, SystemC Verification Library, PSL/Sugar (язык спецификации свойств), генерацию тестов и акселерацию-по-требованию. Есть 3 версии: Incisive, Incisive-XLD (с SystemC симуляцией), Incisive-XLD Base (с поддержкой эмуляции). SystemC симуляция повышает производительность в 1000 раз по сравнению с RTL-симуляцией. Acceleration-on-Demand обеспечивается эмуляцией до 1 миллиона вентилей, что может ускорить верификацию проекта в 10,000 раз.. Incisive работает на платформах HP, Sun, IBM и Linux. Цена за годичную лицензию - от $27,000 за Incisive, $200,000 за Incisive-XLD, и $360,000 за Incisive-XLD Base. www.cadence.com 26 февраля Altera и GIDEL анонсируют PROC1S Stratix 80 - плату прототипирования для Stratix FPGA Цена PROC1S Stratix 80 - $5,845. GIDEL (Ein Ayyala, Israel) основана в 1993 году. www.gidel.com www.altera.com/stratix 1.15.2. Обобщения и выводы Анонсированы три продукта, использующие для эмуляции FPGA Virtex/Virtex II Pro от Xilinx и один - Stratix FPGA от Altera. Особый интерес представляет Incisive-XLD - верификацинная платформа от Cadence (по цене от $360,000 за годичную лицензию), обеспечивающая эмуляцию проектов емкостью до 1 миллиона вентилей. 1.16. "11. Отладка программного обеспечения для микроконтроллеров 11.2. И все остальные" 1.16.1. Только факты 21 января Altium выпускает версию 1.2 TASKING Software Development Toolset для StarCore DSPs Цель - сделать генерируемый код более компактным и более быстрым. Тестирование на стандартных наборах (G723/G729/EFR) показало увеличение скорости исполнения до от 25% до 60%, и уменьшение размеров кода от 10% до 20%. Новая версия базируется на технологии компиляции нового поколения Viper и выполняет 117 из 127 правил оптимизации MISRA. Версия 1.2. совместима с StarCore Application Binary Interface (ABI) и International Standards Organization (ISO) C'99. Версия 1.2. поддерживает все StarCore DSP, включая новый MSC8102 от Motorola. В состав версии 1.2 входят TASKING EDE, C/C++/EC++ компилятор, ассемблер, линкер, CrossView Pro EOnCE / симулятор-отладчик. Версия 1.2 доступна для PC/Windows и SUN/Solaris по цене $5,390. www.tasking.com/company/contact.html www.altium.com 18 февраля Новая технология компиляции Viper от Altum обеспечивает создание компактного и быстрого кода для TriCore В дополнение к новой технологии компиляции Viper был разработан линкер, независимый от целевой платформы и специальный Linker Script Language. Как и предыдущие разработки, TASKING TriCore VX-toolset обеспечивает полную поддержку архитектур TriCore 1 и 2, проверку C-кода на соответствие правилам MISRA, совместим со стандартом ISO C'99. TASKING TriCore VX-toolset - это полная среда отладки, включающая редактор, C/C++/EC++ компилятор, ассемблер, линкер, CrossView Pro OCDS / симулятор-отладчик. Цена - $5,390. www.tasking.com/products/tricore www.altium.com 18 февраля Новая среда отладки от TASKING для DSP56xxx TASKING DSP56xxx Software Development Toolset v3.5 - это полная среда отладки, включающая редактор, C/C++/EC++ компилятор, ассемблер, линкер, CrossView Pro OCDS / симулятор-отладчик. Цена - $3,290. www.tasking.com 1.16.2. Обобщения и выводы На рынке разработки программного обеспечения для микроконтроллеров наиболее активно проявила себя Altium, выпустив TASKING SDT для StarCoreDSP и DSP56xxx, TASKING TriCore VX-toolset. Особый интерес представляет продвигаемая Altium новая технология компиляции Viper, обеспечивающая создание компактного и быстрого кода. 1.17. "11.3. Сетевые микроконтроллеры" 1.17.1. Только факты 17 февраля Agere Systems анонсирует PayloadPlus APP540 - самый быстрый сетевой процессор на одном чипе Корейский ETRI (Electronics and Telecommunications Research Institute) выбрал APP540 как ключевую компоненту для построения корейской телекоммуникационной сети нового поколения. APP540 интегрирует на одном кристалле 4 различных устройства: - программируемый менеджер трафика - поисковый движок по мультиполям - сетевой процессор - Ethernet MAC (media access controller). APP540 способен обрабатывать информационные потоки со скоростью 5 Гбит в секунду. Конкуренты требуют как минимум два чипа, а во многих случаях три и более чипов, чтобы выполнять все эти функции на сравнимой скорости. Использование меньшего количества чипов позволяет уменьшать цену, потребление энергии, размер оборудования и увеличивать надежность. Классификация, управление трафиком и сетевая обработка - это раздельные, но взаимозависимые функции. Классификатор определяет, что должно быть сделано с голосовой, видеоинформацией или данными поступившими через коммуникационное оборудование. Сетевой процессор выполяет работу, предписанную классификатором. Надежное управление трафиком помогает управлять потоком информации, исходящим из сетевого процессора, чтобы наиболее эффективно использовать доступные каналы передачи информации дальше. Надежное управление трафиком предполагает до 8,192 и более очередей индивидуально управляемых потоков данных. Ethernet MAC обеспечивает непосредственное соединение с Ethernet - наиболее популярной формой передачи данных. APP540 использует внешнюю DRAM для хранения классификационных таблиц и правил. Конкуренты используют CAM (Content Addressable Memory) или SRAM. В пересчете стомости хранения бита информации CAM в 100 раз дороже и потребляют больше энергии чем DRAM. В качестве среды разработки Agere поставляет программно-аппаратный комплекс Festino. Одновременно объявлено о производстве чипа APP520, который является более дешевым чем APP540 - в партиях по 10,000 штук их цены соответственно $195 и $295. www.agere.com/micro/his 18 февраля Atmel выпустила 32-битный микроконтроллер AT91RM9200 - на базе ядра ARM920T 200+ MIPS, с USB и Ethernet Он может использоваться совместно с Atmel FingerChip для продвинутых биометрических security - приложений. Green Hills Software обеспечивает среду отладки MULTI и оптимизированные компиляторы. В конце 1-ого квартала 2003 года будет готова и ОС Linux для этого МК. Цена - $12 в партиях по 50К. Плата разработки AT91RM9200-DK продается по цене $5000. http://www.atmel.com/dyn/products/product_card.asp?PN=at91rm9200 1.17.2. Обобщения и выводы Анонсированы два контроллера - Atmel AT91RM9200 на базе ARM920T с поддержкой USB и Ethernet и Agere Systems APP540, интегрирующий на одном кристалле 4 различных устройства: программируемый менеджер трафика, поисковый движок по мультиполям, сетевой процессор, Ethernet MAC. 1.18. "11.5. Другие новости мира микроконтроллеров" 1.18.1. Только факты 20 января Atmel выпускает микроконтроллер с USB - AT90SC6464C-USB-I AT90SC6464C-USB-I базируется на secureAVR микроконтроллере, включает 128 Кбт (64 кбт флеш-память, 64 Кбт EEPROM) внутрикристальной энергонезависимой памяти, мощные криптографические возможности (встроенный 16-битный криптопроцессор для эффективного исполнения криптоалгоритмов, таких как RSA, AES 128/128, SHA-256; аппаратный T-DES (Triple Data Encryption Standard) сопроцессор; true RNG (Random Number Generator); поддержку ECC (Elliptic Curve Cryptography)) и интерфейс USB 2.0. Цена на AT90SC6464C-USB-I - от $4.00 в партиях по 200,000 штук. www.atmel.com/atmel/acrobat/1559s.pdf www.atmel.com/atmel/products/prod21.htm 27 января TDK Semiconductor выпускает SoC смарт-карту 73S1121F Чип 73S1121F включает процессор 8052, USB интерфейс, 2 интерфейса ISO7816 UART, PIN pad интерфейс, 64KB Flash, 4KB RAM, интерфейс клавиатуры 5*6, дополнительные контакты для соединения с внешним LCD. Цена 73S1121F - меньше $9 в крупных партиях начиная с июня. www.tdksemiconductor.com 10 февраля Oki Semiconductor выпускает серию ML674K новых дешевых 32-битных микроконтроллеров на базе процессоров ARM7 Цель Oki Semiconductor - сделать это семейство микроконтроллеров на базе ядра ARM7 таким же стандартом дешевых 16/32-битных МК, каким в свое время стали 8051 для 8-битных МК. МК ML674001, ML67Q4002, ML67Q4003 базируются на ядре ARM7, включают 32 Кбт внутренней SRAM, 4 Кбт ROM для внутренней загрузки. Кроме того, ML67Q4002 включает 256 KB флеш-памяти, а ML67Q4003 - 512 KB флеш-памяти. Все МК совместимы по контактам, имеются оценочная плата и примеры проектов . К достоинствам ML674K относятся также - 2-х канальный DMA контроллер - работа в диапазоне температур от -40 до +85 по Цельсию - таймеры и watchdog - АЦП - частота до 33 Мгц - множество последовательных портов, включая UART, SIO, I2C - интерфейс для внешних ROM (flash), SRAM, SDRAM. По оценкам Oki рынок 16-битных МК - $300 миллионов. Предполагаемая цена - от $5 в версии без ROM в партиях по 10,000 штук. www.okisemi.com 18 февраля Новые серии 8-битных контроллеров от Toshiba содержат встроенную флеш-память Микроконтроллеры TMP86FM48U и TMP86FM48F работают на частоте 8 Мгц и имеют напряжение питания 1.8 вольта. Массовое производство ожидается в мае 2003 года. TMP86FM48U/F имеет 512 байт EEPROM и флеш-память, которая может программироваться посредством UART - непосредствено в рабочей плате. Дополнительные достоинства новых МК: - минимальное время исполнения инструкции - 500 нс собственный 8-битный процессор 870/С - 32 Кбайт встроенной флеш-памяти - 2 Кбайта RAM - режим сохранения энергии - периферийные устройства - таймеры, АЦП, прерывания, контроллеры последовательной передачи, LED-драйвер. - средства разработки - симулятор, эмулятор, толадчик, ассемблер, высокопроизводительный оптимизирующий ANSII С-компилятор. Цена - $6.67 в партиях по 100 штук. chips.toshiba.com www.toshiba.com/taec 24 февраля Sharp Microelectronics выпускает BlueStreak - новое семейство микроконтроллеров на базе 16/32-битных ARM7 Эти микроконтроллеры имеют поддержку CAN 2.0B. CAN 2.0B - надежный протокол, идеальный для разработки управления и взаимодействия в электрически зашумленных средах, таких как среды промышленной автоматики. www.sharpsma.com 1.18.2. Обобщения и выводы Очередный микроконтроллеры выпустили: Atmel - AT90SC6464C-USB-I на базе процессора secureAVR с USB и мощными криптограческими возможностями (цена - от $4.00 в партиях по 200,000 штук), TDK Semiconductor - 73S1121F на базе процессора 8052 с USB (Цена 73S1121F - меньше $9 в крупных партиях) Oki Semiconductor - ML674K на базе 16/32-битного процессора ARM7 (цена - от $5 в версии без ROM в партиях по 10,000 штук.) Toshiba -TMP86FM48 - на базе 8-битного процессора 870/С (цена - $6.67 в партиях по 100 штук). Sharp Microelectronics выпускает BlueStreak - микроконтроллеры на базе 16/32 битные процессора ARM7. Использование в прикладных проектах готовых микроконтроллеров со встроенными на кристалл памятью и специальными периферийными устройствами чрезвычайно сокращает сроки и стоимость разработок. И потому рынок микроконтроллеров развивается весьма динамично. 1.19. "12. Обучение - ключ к продаже 12.1. Очные семинары" 1.19.1. Только факты 23 января Synopsys Users Groups встретятся в марте в Мюнхене и Сан Хосе SNUG (Synopsys Users Groups) - это открытый форум пользователей продуктов Synopsis - и уникальная возможность встретиться пользователям с разработчиками. Как результат приобретения в 2002 году фирмой Synopsis фирмы Avant! частью данной конференции станет и бывшая AURORA - конференция пользователей продуктов Avant! www.snug-universal.org www.synopsys.com 1.19.2. Обобщения и факты Synopsis на регулярной основе контактирует со пользователями своих продуктов, сверяя свои планы с запросами потребителей, и обучая их эффективным технологиям эксплуататции своих продуктов. 1.20. "12.2. On-line - обучение" 1.20.1. Только факты 6 февраля Accellera проводит семинар по SystemVerilog на VirtualDACafe Online Tradeshow and Expo Accellera - это ассоциация, которая занимается разработкой стандартов на языки описания аппаратного обеспечения (VHDL, Verilog, а в будущем возможно и единый язык на их базе). www.virtualdacafe.com www.accellera.org/subcom.html 17 февраля Xilinx упрощает проектирование беспроводных сетевых устройств вводя новый eSP Web Portal Xilinx eSP портал для беспроводных сетей содержит готовые проекты и IP-компоненты, тьюториалы, обзоры и т.д. www.xilinx.com/esp/wireless_networks. www.option.com 1.20.2. Обобщения и выводы WWW-технологии активно используются EDA-сообществом в целом (VirtualDACafe Online Tradeshow and Expo) и его отдельными представителями (Xilinx eSP Web Portal). 1.21. "12.3. Университетские программы" 1.21.1. Только факты 30 января Cadence Design Systems выделила $1.25 миллиарда университету Santa Clara В унверситете Santa Clara учится 4,643 "undergraduate" и 3,417 "graduate" студентов www.scu.edu 1.21.2. Обобщения и выводы Cadence уверенно чуствует себя в настоящем и потому может позволить себе щедро ($1.25 миллиарда) заботится о будущем. 1.22. "12.4. Обучение через партнерские программы с центрами проектирования" 1.21. Только факты 20 января Esterel Technologies и Pro-Nexus сотрудничают Esterel Technologies обеспечит Pro-Nexus своим SCADE Suite. SCADE Suite - ведущее в Европе средство разработки программного обеспечения для авионики соответствующее стандартам, включая DO-178B и активно используемое такими компаниями как Airbus, Eurocopter и Dassault Aviation. Недавно SCADE Suite внедрился в США и уже принят такими фирмами как Pratt&Whitney, Rockwell Collins, Hispano Suiza, Aircraft Braking Systems. Pro-Nexus (Хьюстон, США) будет обеспечивать консультации пользователей по SCADE Suite. www.pro-nexus.com www.esterel-technologies.com 1.22.2. Обобщения и выводы С одной стороны, Esterel Technologies экономит на открытии дополнительного собственного офиса, а Pro-Nexus получает дополнительную сферу деятельности, а с другой стороны обе могут получить выигрыш от "размножения клиентов" - клиенты Esterel Technologies в США автоматически становятся клиентами Pro-Nexus, а клиенты Pro-Nexus в результате соответствующей активности менеджеров Pro-Nexus могут стать и потребителями продуктов от Esterel Technologies. 1.23. "13. Другие ключи к продаже 13.1. Передача маркетинга на сторону" 1.23.1. Только факты 30 января Axis Systems называет Davan Tech дистрибьютором в Корее Axis Systems поставляет средства эмуляции, программной и аппаратной акселерации проектов. Davan Tech Co., Ltd. поставляет EDA-средства (Avant!, Synopsis) корейским разработчикам и является проектной службой. Davan Tech является лидером ASIC Design Service Consortium, состоящим из 9 специальных компаний. Для дополнительной информации о Davan Tech, пишите support@davan.co.kr. www.AxisSystems.com 1.23.2. Обобщения и выводы Axis Systems передала свои маркетинговые функции в Корее местной фирме Davan Tech Co., Ltd. 1.24. "13.2. Расширение географии" 1.24.1. Только факты 27 января 0-In основывает в Японии дочернюю компанию 0-In Design Automation K.K. для продажи и поддержки 0-In Design Automation K.K. будет внедрять в Японии разработанную 0-In технологию ABV (assertion-based verification) Сейчас в Японии используют продукты и технологии 0-In следующие фирмы: Fujitsu, Hitachi, Matsushita, Ricoh и Zuken. http://www.0-in.com 1.24.2 Обобщения и выводы А 0-In, с целью расширения своего бизнеса на территорию Японии, основала специальную дочернюю компанию 0-In Design Automation K.K. 1.25. "15. Специализированые СБИС" 1.25.1. Только факты 20 января Cypress делает USB 2.0 доступным за $1.00 Такова цена на EZ-USB TX2 (CY7C68000) в партиях по 100,000 штук. EZ-USB TX2 - это USB 2.0 трансивер, соответствующий спецификации UTMI (USB 2.0 Transceiver Macrocell Interface). CY7C68000 успешно прошел полный набор тестов USB-IF (USB Implementers Forum). www.cypress.com 1 февраля Agilent Technologies покупает разработки Pixel Devices International в области "image sensoring" вместе с разработчиками Эти разработки Agilent намерена использовать в камерах, встроенных в сотовые телефоны, а также в камерах для промышленных и биомедицинских приложений. Agilent продала уже более 100 миллионов устройств для оптических мышей и PC-камер. Сейчас Agilent занимается разработкой модулей миниатюрных камер для встраивания в беспроводные наручные устройства. Agilent имеет 36,000 сотрудников в 110 странах. www.agilent.com 13 февраля M-Systems и Toshiba анонсируют мобильный "диск-на-чипе" третьего поколения Mobile DiskOnChip G3 содержит 64 мегабайта, содержится внутри корпуса BGA 7*10 мм, является энергонезависимым устройством, обеспечивает передачу данных со скоростью 80 Мегабайт в секунду. Ток потребления в режиме "standby" - 10 микроампер. Реализованы функции EDC/ECC (Error Detection Code/Error Correction Code). Промышленная продажа ожидается в третьем квартале 2003 года. В будущем планируется выпуск чипа с памятью 128 мегабайт. www.m-sys.com/technology/x2Tech.asp www.toshiba.co.jp/index.htm 26 февраля Atmel анонсирует AT76C511 - чип для 802.11b беспроводной маршрутизации Чип AT76C511 содержит два процессора ARM7TDMI, два 10/100 Ethernet MAC (Media Access Controller), высокоскоростной USART и 802.11b MAC для бесшовного соединения с WLAN (Wireless Local Area Network) и WAN (Wide Area Network), а также специальный аппаратный блок для WEP (Wired Encryption Privacy) шифрования/дешифрования данных с 64- и 128-битными ключами. Чип AT76C511 также поддерживает WPA (Wi-Fi Protected Access), включая 802.1x и TKIP. В качестве ОС выбрана uC-Linux. Выпущен документированный проект, и платформа разработки, основанная на использовании RS232, ARM7 JTAG и GCC. www.atmel.com/dyn/products/devices.asp?family_id=657 1.25.2. Обобщения и выводы Среди специализированных БИС - USB transiver от Cypress, "диск на чипе" - совместная разработка M-Systems и Toshiba, чип для беспроводной маршрутизации на базе двухппроцессорного (ARM7) комплекса.Интересны также и стратегические планы Agilent по разработке устройств для "image sensoring". 2. Новости, развивающие классификацию 2.1. "7.3.3. Средства управления движением" 2.1.1. Только факты 7 января Motion Engineering, Inc. использует Xilinx Spartan FPGA для построения SynqNet - средства управления движением SynqNet принята такими поставщиками серво-устройств как AMC, Yaskawa Electric, Panasonic, Sanyo Denki, Tamagawa Seiki, Danaher Motion. Xilinx выпустила уже 4 поколения микросхем Spartan и планирует выпустить пятое поколение в 2003 году - с еще более высокой плотностью, большим количеством внешних контактов и по существенно более низким ценам. www.motioneng.com www.xilinx.com/esp 2.1.2. Обобщения и выводы Обнаружена новая сфера применения FPGA - средства управления движением. 2.2. "7.3.4. Реконфигурация "на-лету" 2.2.1. Только факты 4 февраля Чипы от Xilinx использованы при создании первого в мире конфигурируемого "на-лету" спутника CRCSS (Cooperative Research Centre for Satellite Systems) использовал реконфигурируемые FPGA от Xilinx для удаленной конфигурации австралийского спутника FedSat. FedSat был создан совместно CRCSS в Queensland University of Technology (Australia), Johns Hopkins University/Applied Physics Laboratory и NASA Goddard Space Flight Center. www.crcss.csiro.au www.crcss.bee.qut.edu.au/comp.shtml www.xilinx.com 2.2.2. Обобщения и выводы Хороший каламбур на основе выражения "на-лету" получился у Xilinx и CRCSS. Они использовали конфигурируемость "на лету" (что означает после установки устройства в реальную эксплуатацию) FPGA от Xilinx для удаленной реконфигурации во время реального полета системы управлением австралийского спутника FedSat. 2.3. "11.4. Мультимедиа-микроконтроллеры" 2.3.1. Только факты 3 февраля Texas Instruments выпускает 5 новых OMAP процессоров для беспроводных приложений Производительность повышена в 8 раз, увеличена продолжительность службы батареек, включены внутрикристальные аппаратные компоненты обеспечеиня безопасности беспроводных транзакций. Новые OMAP-процессоры программно совместимы с предыдущими OMAP1510, OMAP310 и OMAP710. Новые процессоры - OMAP1610, OMAP1611 OMAP1612, OMAP730, OMAP732 выполнены по технологии 0.13 мк. На базе процессоров OMAP разрабатывают прикладное ПО такие фирмы как ActionEngine, Bitflash, Certicom, Comverse, Hi Corporation, Ideaworks3D, Microsoft Windows Media, PacketVideo, RealNetworks, SafeNet, SpeechWorks. Достоинства новых OMAP-процессоров: - приложения 2D-графики исполняются в 2.5 раза быстрее - Java-приложения исполняются в 8 раз быстрее - аудио-приложения исполняются в 1.7 раз быстрее благодаря специально разработанным расширениям архитектуры (Multimedia Instruction Set Architecture extensions) - благодаря аппаратным акселераторам, алгоритмы обеспечения безопасности (security) выполняются на 90% быстрее, и при этом сокращается потребление энергии. Минимальное энергопотребление: ток потребления - 10 микроампер в режиме "standby" - что в 10 раз меньше чем у предыдущих процессоров. Все процессоры поддерживают стандарт OMAPI. Производство всех 5 процессоров планируется на 4-ый квартал 2003 года. www.ti.com/wirelesspr www.ti.com/omap161x www.ti.com/omap73x www.omapi.org www.ti.com 3 февраля STMicroelectronics раскрывает первые детали Nomadik - своего семейства процессоров для мультимедиа приложений Процессоры семейства Nomadik совместимы с недавно анонсированным стандартом OMAPI, обеспечивают низкое потребление энергии, масштабируемую производительность, и простую разработку программного обеспечения. Предполагаемые области применения новых процессоров - потребительские переносные мультимедиа устройства для проигрывания музыки, цифровой фотографии, записи видео, визуального взаимодействия в реальном времени. Новые мультимедиа-процессоры от ST комбинируют ядро ARM926EJ-S и программмируемые смарт-акселераторы, которые функционируют независимо и параллельно для выполнения видео и аудио-функций, включая пре-и пост- обработку. Такой подход к распределенной обработке упрощает разработку программного обеспечения, поскольку необходимо писать код только для ядра ARM. Процессоры Nomadik проектируются совместимыми с недавно объявленным стандартом на программные и аппаратные интерфейсы, совместно разработанным ST и Texas Instruments. Этот стандарт упрощает разработку программного обеспечения, делая возможным писать писать однократно приложения для различных аппаратных платформ. Внутри чипа Nomadik ядро ARM926EJ-S функционирует на частоте 350 Мгц при изготовлении по технологии 0.13 мк и на частоте 500 Мгц при изготовлении по технологии 0.09 мк. Ядро включает также внутрикристальную кеш-память, аппаратную акселерацию Java, средства поддержки отладки в реальном времени. Архитектура Nomadik поддерживает такие ОС для мобильных устройств как Symbian OS, Microsoft WinCE и Linux. www.st.com/nomadik 13 февраля Intel анонсирует 'Wireless-Internet-on-a-Chip' для сотовых телефонов Первый сотовый процессор на чипе объединяет вычислительные, коммуникационные функции и память на одном чипе. Сотовый процессор Intel PXA800F - это ключевой компонент Intel PCA (Personal Internet Client Architecture), выполнен по технологии 0.13 мк. Intel PXA800F работает на частоте 312 Мгц, содержит 4 Мгбт флеш-памяти, 512Кбт SRAM, 104 Мгц сигнальный процессор с архитектурой Intel MicroSignal,а для него - 512 Кбт флеш-памяти и 64 Кбт SRAM. Промышленное производство ожидается к началу следующего года. Предполагаемая цена - $35 в партиях от 10,000 единиц. www.intel.com/info/gprs www.intel.com/pressroom 2.3.2. Обобщения и выводы Рынок мультимедиа-устройств развивается чрезвычайно динамично. Микроконтроллеры изначально сокращали сроки разработки проектов, поэтому естественным является появление мультимедийных микроконтроллеров: OMAP 161x и 73x фирмы Texas Instruments, Nomadik фирмы STMicroelectronics, PXA800F фирмы Intel. Интересно отметить также тот факт, что Intel сразу интегрировала в свой контроллер и коммуникационные возможности. 2.4. "12.5. Документированные проекты" 2.4.1. Только факты 3 февраля TI выпускает новый чипсет TCS4105 и документированный проект его использования для мобильных устройств 3-го поколения TCS4105 базируется на процессоре OMAP1610, который поддерживает 3G мультимедиа приложения, такие как видеоконференции, интерактивные 3D-игры, стереомузыка, полифоническое аудио и др. Процессор OMAP1610 поддерживает такие ОС как Microsoft Windows CE, PalmOS, Symbian OS и Linux. Кроме того, OMAP1610 обеспечивает аппаратную акселерацию Java, улучшенную призводительность при обработке графики, содержит разнообразные периферийные устройства. Как и другие TI чипсеты и OMAP процессоры, TCS4105 и OMAP1610 поддерживаются OMAP Developer Network - сообществом ведущих разработчиков прикладного программного обеспечения для беспроводных приложений. Полномасштабный коммерческий выпуск чипсета TCS4105 и соответствующего программного обеспечения планируется на первую половину 2004 года. www.ti.com/wirelesspr 5 февраля Xilinx распространяет документированный проект HyperTransport Lite Этот проект обеспечивает мост между Broadcom MIPS процессором и ASSP и распространяется бесплатно. Проект HT-Lite выполнен в рамках Xilinx Reference Design Alliance Program, цель которой в партнерстве с ведущими разработчиками создавать проекты для пользователей в различных прикладных областях, включая сетевую обработку, коммуникации, обработку видео, DSP и др. www.xilinx.com/reference_design www.xilinx.com/xapp/xapp639.pdf www.xilinx.com/ipcenter 18 февраля Mentor Graphics и Altera распространяют документированный проект PCI Express Этот проект ускоряет разработку пользователями своих систем на базе стандарта PCI Express. Верификация проекта проводилась с помощью платформ эмуляции от от Mentor VStation и Celaro. PCI Express - это быстро распространяющийся стандарт на высокоскоростные соединения следующего поколения. PCI Express совместима с PCI, но вводит и новые возможности, такие как hot swapping, режимы сохранения энергии, возможности управления трафиком и масштабируемая скорость передачи от 250MB/s на линию до 16 GB/s. www.mentor.com 2.4.2. Обобщения и выводы Новый вид обучения и продвижения своих продуктов на рынок - выпуск документированных проектов на базе собственных аппаратных и/или программных разработок. Texas Instruments выпустила документированный проект на тему разработки мобильных устройств на базе своего процессора OMAP 1610. Xilinx выпустила документированный проект HyperTransportLite, который обеспечивает мост между Broadcom MIPS процессором и ASSP. Mentor Graphics и Altera распространяют документированный проект использования PCI Express. 2.5. "12.6. Комплексная (многовидовая) служба поддержки" 2.5.1. Только факты 3 февраля Xilinx расширяет сервис проектирования устройств на ПЛИС Xilinx сейчас занимает 90% рынка FPGA-платформ. И как лидер в этой области, Xilinx расширяет формы сервиса: прикладные инженеры "по требованию", глобальные центры поддержки, персональная он-лайн поддержка. Новые глобальные сервисы от Xilinx: - Titanium Technical Services предлагает пользователям опытного разработчика на принципах "когда нужен" - Platinum Technical Service служба горячей линии, на которой рабтотают опытные разработчики - Expanded Education Services включает курсы обучения, в том числе он-лайновые. Xilinx вместе со своми парнерами по обучению проводят в среднем 5 курсов ежедневно по всему миру. Два последних добавленных курса: - Rocket IO - Embedded Systems Development - MySupport Personalized web site веб-сайт с персонифицированным доступом, автоматическими оповещениями о поступлении интересующих пользователя документов - новые центры поддержки в Китае расширили перечень уже существующих центров поддержки Xilinx Северной Америке, Европе, Тихоокеанской Азии и Японии. www.support.xilinx.com/support/gsd/xilinx_solution.htm 2.5.2. Обобщения и выводы. Xilinx открывает новый способ бырьбы за пользователей - создание комплексных многофункциональных центров поддержки пользователей, обеспечивающих одновременно "аренду специалистов", "горячие линии", курсы обучения (в том числе on-line), персонализированные веб-сайты. Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по февраль 2003 года. Полный текст хронологических DACAFE-новостей с января 2001 года можно найти по адресу: http://NewIT.gsu.unibel.by Цель данных материалов - помочь участникам EDA-индустрии (создателям средств атоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонент и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA и найти свое место в этом процессе.